Abraxas3d / msdlib.vhdl

VHDL helper library with generic components and helper functions

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

MSDLib - generic VHDL helper components
---------------------------------------

Goal of this library is to provide an open-source collection of very basic hardware units that may be used by everyone in his / her project.

This library is developed and maintained by the Microelectronic Systems Design Research Group at the University of Kaiserslautern (http://ems.eit.uni-kl.de). Contributions (code, remarks, bug reports) are always welcome, please contact one of the staff members.

Main people to contact:
Christian de Schryver <schryver@eit.uni-kl.de>
Philipp Schläfer <schlaefer@eit.uni-kl.de>

About

VHDL helper library with generic components and helper functions


Languages

Language:TeX 87.2%Language:VHDL 12.8%