ARmirKhan

ARmirKhan

Geek Repo

0

followers

0

following

Github PK Tool:Github PK Tool

ARmirKhan's starred repositories

ZenlessZoneZero-Auto

绝区零 | ZenlessZoneZero | 零号空洞 | 自动化 | 图片分类 | OCR识别 | zzz | 图像分类

Language:PythonStargazers:898Issues:0Issues:0

SpinalHDL

Scala based HDL

Language:ScalaLicense:NOASSERTIONStargazers:1593Issues:0Issues:0

Jailbreak

iOS 17 - iOS 17.5.1 Jailbreak Tools, Cydia/Sileo/Zebra Tweaks & Jailbreak Related News Updates || AI Jailbreak Finder 👇👇

Language:HTMLLicense:MITStargazers:382Issues:0Issues:0

Shadowrocket-ADBlock-Rules

提供多款 Shadowrocket 规则,带广告过滤功能。用于 iOS 未越狱设备选择性地自动翻墙。

Language:PythonLicense:NOASSERTIONStargazers:15574Issues:0Issues:0

tvip-axi

AMBA AXI VIP

Language:SystemVerilogLicense:Apache-2.0Stargazers:344Issues:0Issues:0

AMBA_AXI3

System Verilog and Emulation. Written all the five channels.

Language:SystemVerilogLicense:NOASSERTIONStargazers:31Issues:0Issues:0

AXI4_LiteIP

A verilog FPGA Interface for AXI4_Lite from Slave side

Language:VerilogStargazers:11Issues:0Issues:0

ARM_AMBA_Design

Based on ARM AMBA bus protocol, Verilog is used to design the digital circuit.

Language:VerilogLicense:GPL-3.0Stargazers:107Issues:0Issues:0

AMBA_AXI_AHB_APB

AMBA bus lecture material

Language:VerilogStargazers:360Issues:0Issues:0

AMBA-AXI4-Lite

Master and Slave made using AMBA AXI4 Lite protocol.

Language:StataStargazers:21Issues:0Issues:0

Verilog-caches

Various caches written in Verilog-HDL

Language:VerilogLicense:MITStargazers:111Issues:0Issues:0

PseudoLRUCache

A parametrizable N-way associative cache memory that uses the clock algorithm to approximate a least recently used replacement policy.

Language:SystemVerilogStargazers:1Issues:0Issues:0

basic_verilog

Must-have verilog systemverilog modules

Language:VerilogStargazers:1547Issues:0Issues:0

async_fifo

A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog

Language:VerilogLicense:NOASSERTIONStargazers:237Issues:0Issues:0

darkriscv

opensouce RISC-V cpu core implemented in Verilog from scratch in one night!

Language:VerilogLicense:BSD-3-ClauseStargazers:2025Issues:0Issues:0

axi-uvm

yet another AXI testbench repo. ;) This is for my UVM practice. https://marcoz001.github.io/axi-uvm/

Language:SystemVerilogLicense:LGPL-3.0Stargazers:99Issues:0Issues:0

uvm_axi

uvm AXI BFM(bus functional model)

Language:VerilogStargazers:225Issues:0Issues:0

riscv-dv

Random instruction generator for RISC-V processor verification

Language:PythonLicense:Apache-2.0Stargazers:986Issues:0Issues:0

uvm_vim_extras

These files are intended for VIM version 7.3 or later and need to be be installed.

Language:VimLStargazers:5Issues:0Issues:0
Language:HTMLStargazers:1Issues:0Issues:0

Python-100-Days

Python - 100天从新手到大师

Language:PythonStargazers:153880Issues:0Issues:0

i3c-slave-design

MIPI I3C Basic v1.0 communication Slave source code in Verilog with BSD license to support use in sensors and other devices.

Language:VerilogLicense:NOASSERTIONStargazers:102Issues:0Issues:0

mips-cpu

MIPS CPU implemented in Verilog

Language:VerilogLicense:GPL-3.0Stargazers:561Issues:0Issues:0