3bb / hamming_code

An implementation in Verilog of Hamming encoding on (15,11) and decoding, with an additional parity bit.

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

This repository is not active

About

An implementation in Verilog of Hamming encoding on (15,11) and decoding, with an additional parity bit.


Languages

Language:Verilog 100.0%