zengqlleo / amiq_eth

Library defining all Ethernet packets in SystemVerilog and in SystemC

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

About

Library defining all Ethernet packets in SystemVerilog and in SystemC

License:Apache License 2.0


Languages

Language:HTML 40.6%Language:C++ 28.8%Language:SystemVerilog 25.6%Language:Makefile 3.1%Language:JavaScript 0.8%Language:CSS 0.8%Language:Shell 0.1%Language:C 0.1%Language:Verilog 0.0%Language:Forth 0.0%Language:Filebench WML 0.0%