Xan Johnson (xanjohns)

xanjohns

Geek Repo

0

followers

0

following

Github PK Tool:Github PK Tool

Xan Johnson's repositories

Stargazers:0Issues:0Issues:0

common-config

A preparatory repository for Symbiflow's common-config repo

Language:ShellLicense:ISCStargazers:0Issues:0Issues:0
Language:ShellStargazers:0Issues:0Issues:0
Language:CStargazers:0Issues:0Issues:0

ecen330_student

Student template code for ECEN 330

License:NOASSERTIONStargazers:0Issues:0Issues:0
License:NOASSERTIONStargazers:0Issues:0Issues:0

fpga-tool-perf

FPGA tool performance profiling

License:ISCStargazers:0Issues:0Issues:0
Language:C++Stargazers:0Issues:0Issues:0

ML-For-Beginners

12 weeks, 24 lessons, classic Machine Learning for all

License:MITStargazers:0Issues:0Issues:0

practice-sub-tree

to practice the integration of common-config

License:ISCStargazers:0Issues:0Issues:0

practice-upstream

To test subtree scripts by another user.

Stargazers:0Issues:0Issues:0

prjxray

Documenting the Xilinx 7-series bit-stream format.

License:ISCStargazers:0Issues:0Issues:0

prjxray-bram-patch

Tool for updating the contents of BlockRAMs found in Xilinx 7 series bitstreams.

License:ISCStargazers:0Issues:0Issues:0

prjxray-db

Project X-Ray Database: XC7 Series

License:CC0-1.0Stargazers:0Issues:0Issues:0
Language:ShellStargazers:0Issues:0Issues:0

python-fpga-interchange

Python interface to FPGA interchange format

License:ISCStargazers:0Issues:0Issues:0

python-sdf-timing

Python library for working Standard Delay Format (SDF) Timing Annotation files.

License:ISCStargazers:0Issues:0Issues:0

repo-file-sync-action

🔄 GitHub Action to keep files like Action workflows or entire directories in sync between multiple repositories.

Language:JavaScriptLicense:MITStargazers:0Issues:0Issues:0

symbiflow-arch-defs

FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

License:ISCStargazers:0Issues:0Issues:0
License:ISCStargazers:0Issues:0Issues:0

symbiflow-docs

Documentation for SymbiFlow

License:ISCStargazers:0Issues:0Issues:0

symbiflow-examples

Example designs showing different ways to use SymbiFlow toolchains.

License:ISCStargazers:0Issues:0Issues:0

symbiflow-website

SymbiFlow Website Source

License:ISCStargazers:0Issues:0Issues:0

symbiflow.github.io

Published SymbiFlow Website

License:ISCStargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0

vtr-ccl

Temporary workspace for files related to Verilog-to-Routing from BYU's Configurable Computing Lab

Language:VerilogStargazers:0Issues:0Issues:0

vtr-verilog-to-routing

Verilog to Routing -- Open Source CAD Flow for FPGA Research

License:NOASSERTIONStargazers:0Issues:0Issues:0
License:ISCStargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0

yosys

SymbiFlow WIP changes for Yosys Open SYnthesis Suite

License:ISCStargazers:0Issues:0Issues:0