Gwenhael Goavec-Merou (trabucayre)

trabucayre

Geek Repo

Location:France

Twitter:@GwenhaelG

Github PK Tool:Github PK Tool


Organizations
oscimp

Gwenhael Goavec-Merou's repositories

openFPGALoader

Universal utility for programming FPGA

Language:C++License:Apache-2.0Stargazers:1085Issues:34Issues:218

litexOnColorlightLab004

basic example of litex on colorLight 5A-75B based on fpga_101/lab004

redpitaya

BR2_EXTERNAL bsp for redpitaya

GateMate_demos

gateware for CologneChip GateMate Evaluation Board

Language:VerilogLicense:MITStargazers:6Issues:2Issues:0

ftdi_cpu_prog

tool to automate the programming of microcontrollers

Language:CStargazers:1Issues:2Issues:0

litex

Build your hardware, easily!

Language:CLicense:NOASSERTIONStargazers:1Issues:2Issues:0

litex-boards

LiteX boards files

Language:PythonLicense:BSD-2-ClauseStargazers:1Issues:0Issues:0

amaranth

A modern hardware definition language and toolchain based on Python

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:2Issues:0

amaranth-boards

Board definitions for Amaranth HDL

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:1Issues:0
Language:CStargazers:0Issues:0Issues:0

edalize

An abstraction library for interfacing EDA tools

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:2Issues:0
Language:VerilogLicense:MITStargazers:0Issues:3Issues:0

gnuradio

GNU Radio

Language:C++License:GPL-3.0Stargazers:0Issues:3Issues:0

gr-ettus

Out-of-tree GNU Radio Module for Experimental Ettus Research Features

Language:PythonLicense:NOASSERTIONStargazers:0Issues:1Issues:0

homebrew-core

🍻 Default formulae for the missing package manager for macOS (or Linux)

License:BSD-2-ClauseStargazers:0Issues:0Issues:0

learn-fpga

Learning FPGA, yosys, nextpnr, and RISC-V

Language:C++License:BSD-3-ClauseStargazers:0Issues:1Issues:0

linux

Linux kernel source tree

License:NOASSERTIONStargazers:0Issues:0Issues:0

linux-on-litex-vexriscv

Linux on LiteX-VexRiscv

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:2Issues:0

litedram

Small footprint and configurable DRAM core

Language:PythonLicense:NOASSERTIONStargazers:0Issues:2Issues:0

liteeth

Small footprint and configurable Ethernet core

Language:PythonLicense:NOASSERTIONStargazers:0Issues:2Issues:0

litepcie

Small footprint and configurable PCIe core

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:2Issues:0

litex-template

Template project for LiteX-based SoCs

Language:PythonLicense:MITStargazers:0Issues:1Issues:0
Language:PythonStargazers:0Issues:2Issues:0

nextpnr-xilinx

Experimental flows using nextpnr for Xilinx devices

License:ISCStargazers:0Issues:0Issues:0

opensbi

RISC-V Open Source Supervisor Binary Interface

Language:CLicense:NOASSERTIONStargazers:0Issues:2Issues:0

periphondemand

PeripheralOnDemand, SoC tool to simplify IP assembly around Wishbone (mainly)

Language:PythonLicense:LGPL-2.1Stargazers:0Issues:0Issues:0

Silice

Silice is an open source language that simplifies writing algorithms fully exploiting FPGA architectures.

Language:C++License:NOASSERTIONStargazers:0Issues:2Issues:0

stlink

Open source STM32 MCU programming toolset

Language:CLicense:BSD-3-ClauseStargazers:0Issues:1Issues:0

xtrx_linux_pcie_drv

XTRX PCI driver for linux

Stargazers:0Issues:0Issues:0

yosys

Yosys Open SYnthesis Suite

Language:C++License:ISCStargazers:0Issues:1Issues:0