TCal (tcal-x)

tcal-x

Geek Repo

Company:Google

Location:California

Twitter:@tcal_x

Github PK Tool:Github PK Tool


Organizations
hdl
litex-hub
SymbiFlow
timvideos

TCal's repositories

ecpprog

Programmer for the Lattice ECP5 series, making use of FTDI based adaptors

Language:CLicense:ISCStargazers:1Issues:0Issues:0

foboot

Bootloader for Fomu

Language:CLicense:Apache-2.0Stargazers:1Issues:0Issues:0

fomu-workshop

Support files for participating in a Fomu workshop

Language:VerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

litex-boards

LiteX boards files

Language:PythonLicense:BSD-2-ClauseStargazers:1Issues:0Issues:0

misc

Random stuff -- small demos/tutorials, etc.

License:Apache-2.0Stargazers:1Issues:1Issues:0

SpinalHDL

Scala based HDL

Language:ScalaLicense:NOASSERTIONStargazers:1Issues:0Issues:0

symbiflow-arch-defs

FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

Language:PythonLicense:ISCStargazers:1Issues:0Issues:0

symbiflow-examples

Examples designs for showing different ways to use SymbiFlow toolchains.

Language:VerilogLicense:ISCStargazers:1Issues:0Issues:0

verilator-make-env-test

Demonstration of issue with Verilator package in the Conda environment.

Language:MakefileLicense:ISCStargazers:1Issues:1Issues:1

VexRiscv

A FPGA friendly 32 bit RISC-V CPU implementation

Language:AssemblyLicense:MITStargazers:1Issues:0Issues:0

bazel_rules_hdl

Hardware Description Language (Verilog, VHDL, Chisel, nMigen, etc) with open tools (Yosys, Verilator, OpenROAD, etc) rules for Bazel (https://bazel.build)

Language:StarlarkLicense:Apache-2.0Stargazers:0Issues:0Issues:0

CFU

RISC-V FPGA SIG Custom Function Unit Specification

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

containers

Building and deploying container images for open source electronic design automation (EDA)

Language:ShellLicense:Apache-2.0Stargazers:0Issues:0Issues:0

icebreaker-examples

This repository contains small example designs that can be used with the open source icestorm flow.

Language:VerilogStargazers:0Issues:0Issues:0

icebreaker-nmigen-examples

This repository contains icebreaker examples for nmigen, a refresh of migen.

Language:PythonStargazers:0Issues:0Issues:0

klayout

KLayout Main Sources

Language:C++License:GPL-3.0Stargazers:0Issues:0Issues:0

litespi

Small footprint and configurable SPI core

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0

litex

Build your hardware, easily!

Language:CLicense:NOASSERTIONStargazers:0Issues:0Issues:0

litex-buildenv

An environment for building LiteX based FPGA designs. Makes it easy to get everything you need!

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0

nextpnr

nextpnr portable FPGA place and route tool

Language:C++License:ISCStargazers:0Issues:0Issues:0

nmigen-tutorial

A tutorial for using nmigen

License:CC-BY-SA-4.0Stargazers:0Issues:0Issues:0

prjxray

Documenting the Xilinx 7-series bit-stream format.

Language:PythonLicense:ISCStargazers:0Issues:0Issues:0

pythondata-cpu-vexriscv

Python module containing verilog files for vexriscv cpu (for use with LiteX).

Language:VerilogLicense:MITStargazers:0Issues:1Issues:1

symbiflow-docs

Documentation for SymbiFlow

Language:PythonLicense:ISCStargazers:0Issues:0Issues:0

tcal-x.github.io

The blog of tcal-x

Language:SCSSLicense:MITStargazers:0Issues:1Issues:0

tcal-yosys-vivado

Examples using Yosys-Vivado flow

Language:VerilogStargazers:0Issues:1Issues:1

xls

XLS: Accelerated HW Synthesis

Language:C++License:Apache-2.0Stargazers:0Issues:0Issues:0

yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0