qzxtu / Temperature-Sensor

VHDL program that simulates a temperature sensor, providing an accurate representation of temperature readings in real-time.

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

qzxtu/Temperature-Sensor Issues

No issues in this repository yet.