nturley / netlistsvg

draws an SVG schematic from a JSON netlist

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

cannot open output file using xdot

hMihaiDavid opened this issue · comments

out.svg was generated like this:
netlistsvg synth.json

and synth.json was generated using yosys write_json after standard synthesis from a verilog file.

$ xdot gen_yosys/out.svg
Error: : syntax error in line 1 near '>'
Warning: syntax ambiguity - badly delimited number '10p' in line 9 of splits into two tokens
Warning: syntax ambiguity - badly delimited number '0x' in line 82 of splits into two tokens
Warning: syntax ambiguity - badly delimited number '0x' in line 88 of splits into two tokens

I attach synth.json and out.svg.

I am using Node.js v20.11.1. and netlistsvg installed today from npm.
out
synth.json