nathanaelhuffman / vunit

VUnit is a unit testing framework for VHDL

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

What is VUnit?

VUnit is an open source unit testing framework for VHDL/SystemVerilog released under the terms of Mozilla Public License, v. 2.0. It features the functionality needed to realize continuous and automated testing of your HDL code. VUnit doesn't replace but rather complements traditional testing methodologies by supporting a "test early and often" approach through automation.

Read more on our Website

Contributing

Contributing in the form of code, feedback, ideas or bug reports are welcome. Read our contribution guide to get started.

License

VUnit

VUnit except for OSVVM (see below) is released under the terms of Mozilla Public License, v. 2.0.

Copyright (c) 2014-2018, Lars Asplund lars.anders.asplund@gmail.com

OSVVM

OSVVM is redistributed as a submodule to VUnit for your convenience. OSVVM and derivative work located under examples/vhdl/osvvm_integration/src are licensed under the terms of Artistic License 2.0.

Copyright (c) 2006-2016, SynthWorks Design Inc http://www.synthworks.com

About

VUnit is a unit testing framework for VHDL

License:Other


Languages

Language:VHDL 63.8%Language:Python 35.6%Language:SystemVerilog 0.6%Language:Tcl 0.0%