matthuszagh

matthuszagh

Geek Repo

Location:San Francisco

Home Page:matthuszagh.com

Github PK Tool:Github PK Tool

matthuszagh's repositories

Language:CStargazers:1Issues:0Issues:0

ece5760-lab2

https://people.ece.cornell.edu/land/courses/ece5760/LABS/s2017/lab2_DDA.html

Language:SystemVerilogStargazers:1Issues:0Issues:0

arachne-pnr

Place and route tool for FPGAs

Language:C++License:MITStargazers:0Issues:0Issues:0
Language:NixStargazers:0Issues:0Issues:0

ebase

Electronic parts inventory management.

Language:PythonLicense:GPL-3.0Stargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0

fir_poly

Polyphase decomposition FIR filter

Stargazers:0Issues:0Issues:0

flycheck-clang-tidy

Flycheck syntax checker using clang-tidy

Language:Emacs LispLicense:MITStargazers:0Issues:0Issues:0

icestorm

Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentaion (Reverse Engineered)

Language:PythonLicense:ISCStargazers:0Issues:0Issues:0

kicad-packages3D

Official KiCad 3D model libraries for rendering and MCAD integration

Language:CMakeLicense:NOASSERTIONStargazers:0Issues:0Issues:0

kicad-source-mirror

A mirror of the KiCad development branch, which is hosted at launchpad (updated every time something is pushed). Pull requests on github are not accepted or watched.

Language:CLicense:AGPL-3.0Stargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0
Language:VerilogStargazers:0Issues:0Issues:0

nextpnr

nextpnr portable FPGA place and route tool

Language:C++License:ISCStargazers:0Issues:0Issues:0

nix

Nix, the purely functional package manager

License:LGPL-2.1Stargazers:0Issues:0Issues:0
Language:VHDLStargazers:0Issues:0Issues:0

OpenTimer

A High-performance Timing Analysis Tool for VLSI Systems

Language:C++License:NOASSERTIONStargazers:0Issues:0Issues:0

pcbmodelgen

Convert KiCAD PCB files to models for import in openEMS

Language:C++License:GPL-3.0Stargazers:0Issues:0Issues:0

picorv32

PicoRV32 - A Size-Optimized RISC-V CPU

Language:VerilogStargazers:0Issues:0Issues:0

pkg-repo

Version-controlled personal package repo for Arch Linux

Language:ShellStargazers:0Issues:0Issues:0

prjtrellis

Documenting the Lattice ECP5 bit-stream format.

Language:VerilogLicense:ISCStargazers:0Issues:0Issues:0

prjxray

Documenting the Xilinx 7-series bit-stream format.

Language:VerilogLicense:ISCStargazers:0Issues:0Issues:0

PySpice

Simulate electronic circuit using Python and the Ngspice / Xyce simulators

Language:PythonLicense:GPL-3.0Stargazers:0Issues:0Issues:0

qcircuitz

Draw quantum circuits using TikZ.

License:GPL-3.0Stargazers:0Issues:0Issues:0

reflow-controller

Reflow controller for toaster oven.

Language:CStargazers:0Issues:0Issues:0

tools

A collection of personal scripts

Language:PythonLicense:GPL-3.0Stargazers:0Issues:0Issues:0

tree-sitter-org

Org-mode grammar for tree-sitter

Language:JavaScriptStargazers:0Issues:0Issues:0

vtr-verilog-to-routing

Verilog to Routing -- Open Source CAD Flow for FPGA Research

Language:CLicense:NOASSERTIONStargazers:0Issues:0Issues:0

wxFormBuilder

RAD tool for wxWidgets GUI design

License:GPL-2.0Stargazers:0Issues:0Issues:0

yosys

Yosys Open SYnthesis Suite

Language:C++License:ISCStargazers:0Issues:0Issues:0