SureLog - UHDM (alainmarcel)

SureLog - UHDM

alainmarcel

Geek Repo

Location:Santa Clara

Github PK Tool:Github PK Tool

SureLog - UHDM's repositories

Surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

Language:C++License:Apache-2.0Stargazers:25Issues:0Issues:0

UHDM

Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

Language:C++License:Apache-2.0Stargazers:14Issues:0Issues:0

Help_Wanted

Ideas that need engineering-power from the community for UHDM/Surelog/Related topics

Language:PythonLicense:Apache-2.0Stargazers:2Issues:0Issues:0

antlr4

ANTLR (ANother Tool for Language Recognition) is a powerful parser generator for reading, processing, executing, or translating structured text or binary files.

Language:JavaLicense:NOASSERTIONStargazers:0Issues:1Issues:0
Stargazers:0Issues:0Issues:0
Language:C++License:MITStargazers:0Issues:0Issues:0

sv-tests

Test suite designed to check compliance with the SystemVerilog standard.

Language:SystemVerilogLicense:ISCStargazers:0Issues:0Issues:0

tsc

CHIPS Alliance Technical Steering Committee

License:Apache-2.0Stargazers:0Issues:0Issues:0
Language:C++License:Apache-2.0Stargazers:0Issues:0Issues:0
Language:C++License:LGPL-3.0Stargazers:0Issues:1Issues:0

yosys

Yosys Open SYnthesis Suite

Language:C++License:ISCStargazers:0Issues:1Issues:0