SravanChittupalli / 8-bit-ALU-in-verilog

8-bit ALU in Verilog.

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

8-bit-ALU-in-Verilog

ALU veilog file
Testbench file

Introduction

ALU performs arithmetic and logic operations. It is also one of the most fundamental units of many computing circuits, including the central processing unit (CPU) of computers, and the graphic processing unit (GPU) of video cards.

In this repo you can find independent modules that can perform 8-bit arithmetic operations like ADDITION, SUBTRACTION and logic operations like AND, OR, XOR. In the end all combine to form an ALU.

Inputs of an ALU are primarily the operands which are the data to be operated on. In addition, an ALU has opcodes (operation codes) as inputs to control the type of operation the ALU shall perform.

Pin description

Opcodes

Internal View Of ALU

PDF File

Output

Output generated using testbench

Output generated using waveform file

About

8-bit ALU in Verilog.


Languages

Language:HTML 46.1%Language:Verilog 42.0%Language:Stata 11.5%Language:Standard ML 0.3%Language:Scheme 0.1%