Razer6 / language-vhdl

VHDL language support in Atom

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

VHDL language support in Atom

Adds syntax highlighting and snippets to VHDL files in Atom.

Originally converted from the vhdl.tmbundle.

Contributions are greatly appreciated. Please fork this repository and open a pull request to add snippets, make grammar tweaks, etc.

Version

  • 0.7.2
    • Fix case-sensitive END in component pattern
  • 0.7.1
    • Rename scoped properties to fix deprecated Package.loadSettings call
  • 0.7.0
    • Fix block comments
  • 0.6.0
    • New if generate snippet
    • Remove redundant identifier comments at the end of blocks
  • 0.5.0
    • Make use of spaces/braces more consistent
  • 0.1.0 ... 0.3.0
    • Initial import from TextMate bundle

About

VHDL language support in Atom


Languages

Language:CoffeeScript 100.0%