Kuree / kratos

:crossed_swords: Debuggable hardware generator

Home Page:https://kratos-doc.readthedocs.io

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

Add raw string statement

Kuree opened this issue · comments

This allows arbitrary strings to be inserted into the generated code. Useful for some yet-to-support SystemVerilog constructs.