CodiieSB / VHDL-2x4Decoder

The VHDL code implements a 2x4 decoder, converting two input signals into four output signals based on the input combinations.

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

CodiieSB/VHDL-2x4Decoder Issues

No issues in this repository yet.